Documentation

isfir

Determine if digital filter has finite impulse response

Description

example

flag= isfir(d)returnstrueif a digital filter,d, has a finite impulse response.

Examples

collapse all

Usedesignfiltto design FIR and IIR versions of a highpass filter. Specify a normalized stopband frequency of 0.3 and a normalized passband frequency of 0.6. Verify that each filter is of the correct class. Display the frequency responses of the filters.

fir = designfilt('highpassfir','StopbandFrequency',0.3,'PassbandFrequency',0.6); iir = designfilt('highpassiir','StopbandFrequency',0.3,'PassbandFrequency',0.6); isfirFIR = isfir(fir)
isfirFIR =logical1
isiirFIR = isfir(iir)
isiirFIR =logical0
fvt = fvtool(fir,iir); legend(fvt,'FIR','IIR')

Input Arguments

collapse all

Digital filter, specified as adigitalFilterobject. Usedesignfiltto generate a digitalfilter based on frequency-response specifications.

Example:d = designfilt('lowpassiir','FilterOrder',3,'HalfPowerFrequency',0.5)specifies a third-order Butterworth filter with normalized 3 dB frequency 0.5π rad/sample.

Output Arguments

collapse all

Filter class identification, returned as a logical scalar.

Introduced in R2014a