主要内容

金宝appSimulink.sdi.getSignalInputProcessingMode

设置输入处理信号的属性

描述

例子

inputMode= 金宝appSimulink.sdi.getSignalInputProcessingMode (blkPath,港口)返回的设置输入处理属性在指定的信号块和端口。

输入处理设置影响的格式记录数据和仿真数据检查和仪表板块显示信号。您可以配置框架或纸浆包输入的信号处理。

例子

inputMode= 金宝appSimulink.sdi.getSignalInputProcessingMode (h)返回的设置输入处理财产的信号对应于指定的行处理,h

例子

检查信号输入处理设置使用块路径

检查的设置输入处理信号使用的属性块路径和输出端口号块产生的信号。

open_system (“vdp”)MuInputProc = S金宝appimulink.sdi.getInputProcessingMode (的vdp /亩);

检查信号输入处理设置使用线处理

检查的设置输入处理财产使用线处理的信号的信号。

open_system (“vdp”)MuLineHandles = get_param (的vdp /亩,“LineHandles”);MuOutputHandle = MuLineHandles.Outport;MuInputProc = 金宝appSimulink.sdi.getInputProcessingMode (MuOutputHandle);

输入参数

全部折叠

块路径产生信号,指定为一个字符串或字符数组。

例子:“vdp /μ”

块输出端口号港口产生的信号。

例子:1

行处理的信号,指定为信号的处理线。你可以使用的线处理信号get_param函数与“LineHandles”选择。例如,访问行处理的输出μ块模型中vdp使用:

MuLineHandles = get_param (的vdp /亩,“LineHandles”);MuOutputLineHandle = MuLineHandles.Outport;

例子:MuOutputLineHandle

输出参数

全部折叠

信号输入处理设置。

  • 样本——纸浆包输入处理,样品中每一个元素都被视为一个频道。

  • 框架——不定位输入处理,样品中每一列都被视为一个频道。

介绍了R2020a